GHDL: VHDL simulator

GHDL is a complete VHDL simulator, using the GCC technology.

VHDL is a language standardized by the IEEE, intended for developing electronic systems.

GHDL implements the VHDL language according to the IEEE 1076-1987 or the IEEE 1076-1993 standard. GHDL compiles VHDL files and creates a binary which simulates (or executes) your design. See the features page for more details.

GHDL does not do synthesis: it cannot translate your design into a netlist.

Go to the download page to download the sources or the binaries of GHDL.

Nombre GHDL
Versión 0.31-1pgavin2~trusty2
Plataforma Linux, Windows, OSX
Licencia GPL
Web: http://home.gna.org/ghdl/
Manuales http://home.gna.org/ghdl/manual.html
Software privativo relacionado Simuladores de VHDL

Deja una respuesta

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *